VerilogのテストにPython製フレームワーク「cocotb」を使う。 - Qiita

##はじめに。cocotbは、Pythonを使用したHDLテストフレームワークです。しばらく成り行きを見守っていたのですが、バージョン1.0がリリースされたので試してみることにしました。##c…