VivadoでIPを生成する方法の調査(VivadoのIPインテグレーションの仕組み調査)

XilinxのIP生成およびそのインテグレーションの方法って、ネット上にもほとんど情報が出ていなくて、みんなどうやってやってるんだと不思議に思いながら調査しているのだけれども。 Block Designを使わない場合はみんなソースファイルを突っ込んで合成しているだけなのかもしれないが、そうでない場合はBlock Designに結合…