FPGA Intel(Altera) MAX10 Topモジュールの作成

開発環境 Board MAX10 FB IDE Quartus Prime Lite Edition OS Windows 10 pro 64bit Debbuger USB Blaster Rev C こちらの記事で作成したプロジェクトから ccwo-embed.hatenablog.com このような画面が生成されたはずです。 Topモジュールを作成するために、新しいVerilog HDL Fileを追加します。画面左上の白紙マークか、…