GitHub - zachjs/sv2v: SystemVerilog to Verilog conversion

SystemVerilog to Verilog conversion. Contribute to zachjs/sv2v development by creating an account on GitHub.