SystemVerilogで遊ぼう! - 01日目~Hello World

UVMクラスライブラリのコンパイル UVMクラスライブラリのpackageをコンパイルします vlib work set dir = ../uvm-1.1d/src.(ここはUVMクラスライブラリを展開したディレクトリをセットします) vlog -sv $dir/uvm_pkg.sv +incdir+$dir