UVM-SystemCを調べる - Vengineerの薄い本シリーズ - BOOTH

UVM-SystemC の例題から、SystemCの中でどのようにUVMを使えばいいのかを調べました。 オープンソースの開発されているVerilog HDL/SystemVerilogシミュレータである Verilator はテストベンチ側にSystemCが使えるので、このUVM-SystemCと組み合わせることで、網羅的なテスト(検証)環境が構築できると思います。 なお、Ver…