Chisel Bootcamp - Module2.1 (3) - Verilog/FIRRTLの確認とprintfデバッグ

前回の記事ではChisel BootcampのModule2.1の大体の学習が終わったところだった。 今回はModule2.1の最初のモジュールの残りを片付ける。内容的には主にデバッグの際にどうすればいいかというものだ。