ChiselのPeekPokeTesterにはIntをBigIntに変換するメソッドがあった話

前回のChiselのテスト実行時にプログラム引数を指定する方法についてを紹介した。 www.tech-diningyo.info 今日は以前に書いた”UIntの大きな定数を指定する方法”を書いた時には気づかなかったメソッドを見つけたのでそれを紹介しようと思う。 ChiselでUIntの大きな数字を扱うと。。 でもちゃんとIntをBigIntに変換するメソ…