Chiselのハードウェアを型のインスタンスに変換(chiselTypeOf)

Chiselのutil以下に存在するモジュールに自分のモジュールのIOを接続しようとした時に遭遇したエラーとその解決法であるchiselTypeOfについて簡単にまとめておく。