Verilogのシミュレーション(Vivado),メモ

コマンド xvlog xelab xsim GUI xsimでguiオプションをつけて表示. 波形を選択 右クリックからAdd to Wave Window ドラッグ&ドロップで他にも信号を追加 Run All でシミュレーション実行 波形確認 信号追加 Restart から Run All 波形を右クリック,Radixから16進数を変更できる.